Chinese semiconductor thread II

tokenanalyst

Brigadier
Registered Member
Please, Log in or Register to view URLs content!
I think the Chinese government needs to be very vigilant about any attempt by ASML to keep China hooked on the low lane of mature chips for its own benefit. ASML may try to use its monopolistic position to slow or stall China nascent lithography industry at the expense in the long term of China making more advanced chips that its own companies need.
And China needs continue to push HuaHong and others to continue advancing their nodes by all means necessary and they also needs continue to push on lithography. If ASML wants to remain part of China's semiconductor industry, they will need to sell their best machines.​
 

tokenanalyst

Brigadier
Registered Member

Focuslight Technology's Pan-semiconductor Process Photonic Application Solution Industrial Base Project started in Hefei​


Xi'an Focus Technology Co., Ltd. (hereinafter referred to as "Focus Technology"), a leading domestic A-share semiconductor laser company , obtained the "Construction Project Construction Permit" and started construction on site for the Pan-Semiconductor Process Photonic Application Solution Industrial Base project invested in Hefei High-tech Zone .

The project is located at the northeast corner of the intersection of Innovation Avenue and Baiyanwan Road in the High-tech Zone, covering an area of about 39.8 acres . The planned investment is 500 million yuan to build three production plants and supporting facilities with a total construction area of about 79,000 square meters.

Juguan Technology will leverage its accumulated technical advantages and rich product development experience in the field of pan-semiconductor applications to deploy the company's existing pan-semiconductor businesses such as solid laser lift-off LLO, Mini/Micro LED laser line spot Reflow, and semiconductor integrated circuit wafer annealing in Hefei, as well as new businesses under development such as solar cell applications, flat panel display solid laser annealing SLA, and semiconductor integrated circuit processes.
Bird's-eye view (the project in the red frame is the one that started construction)

Juguan Technology is headquartered in Xi'an and was founded in 2007. It was listed on the Science and Technology Innovation Board of the Shanghai Stock Exchange in December 2021. It is mainly engaged in the research and development, production and sales of semiconductor laser raw materials, components, optical components, etc. Its products are widely used in advanced manufacturing, medical health, optical communications, new energy vehicles, consumer electronics and other fields. It will achieve revenue of 561 million yuan in 2023.​

Please, Log in or Register to view URLs content!
 

curiouscat

New Member
Registered Member
How is Ascend 910B chip compares to Nvidia H20?
I read on pure compute FP32 the H20 is less than half the speed of Ascend 910B. On the interconnect speed it is faster. I think Nvidia is trying to play up the interconnect speed over Huawei since the H20 has faster interconnection speeds and has more VRAM so there should be less of a need to send data to other GPUs over the interconnect in the first place. However, the sanctions have crippled the H20’s performance badly enough that it clearly has been rendered uncompetitive.
 

mst

Junior Member
Registered Member
I read on pure compute FP32 the H20 is less than half the speed of Ascend 910B. On the interconnect speed it is faster. I think Nvidia is trying to play up the interconnect speed over Huawei since the H20 has faster interconnection speeds and has more VRAM so there should be less of a need to send data to other GPUs over the interconnect in the first place. However, the sanctions have crippled the H20’s performance badly enough that it clearly has been rendered uncompetitive.
any rumors on the performance of Ascend 920 in terms of FP32 and interconnect speed?
 

tokenanalyst

Brigadier
Registered Member

NAURA Cumulative Shippings.


1) Etching equipment: In 2023, the company's etching equipment revenue was nearly 6 billion yuan. ICP has achieved breakthroughs in various 12-inch technology nodes, and polysilicon and metal etching series ICP equipment has been applied on a large scale. ICP has shipped more than 3,200 cavities in total; CCP has covered multiple key processes in the fields of logic, storage, power semiconductors, etc., with cumulative shipments exceeding 100 cavities; the company's 12-inch TSV can greatly increase the etching rate, reaching the international mainstream level, and has been widely used in domestic mainstream Fab factories and advanced packaging factories. It is the main machine for domestic TSV mass production lines and has a leading market share; dry degumming equipment has been widely recognized by customers and has formed batch sales. 2) Thin film deposition equipment: In 2023, the company's thin film deposition equipment revenue exceeded 6 billion yuan. PVD has achieved full coverage of the metallization process of logic chips and memory chips. The company has launched more than 40 PVD equipment and has shipped more than 3,500 cavities in total. The key technical indicators of CVD have reached the industry-leading level. The company has achieved mass production applications of more than 30 CVD products, with cumulative shipments of more than 1,000 cavities. EPI has the epitaxial growth technology capabilities of various materials such as monocrystalline silicon, polycrystalline silicon, SiC, and GaN. The company has released more than 20 mass-produced epitaxial equipment, with cumulative shipments of more than 1,000 cavities. 3) Vertical furnaces and cleaning equipment: In 2023, the company's vertical furnace and cleaning equipment revenue will exceed 3 billion yuan. In the field of vertical furnaces, the company has achieved full coverage of logic and storage process applications, with cumulative shipments of more than 700 units; in the field of cleaning equipment, the company has achieved full coverage of trough processes, and at the same time, high-end monolithic processes have achieved breakthroughs, with cumulative shipments of more than 1,200 units.
 

tokenanalyst

Brigadier
Registered Member

Nansha Wafer cooperates with SiC wafer grinding and polishing material company Zhongji New Materials​


Official news from China Machinery New Materials shows that it focuses on the field of high-performance grinding and polishing materials required for hard and brittle materials and advanced manufacturing. It has made a number of key technological breakthroughs in the application of third-generation semiconductor SiC wafer grinding and polishing, and continues to meet customers' high-quality and stable supply services.
In February this year, China Machinery New Materials completed a round A financing of over 100 million yuan, led by Oriza Puhua and Yida Capital, and followed by CICC, Oriza Holdings, Shenzhen Small and Medium-sized Guarantee Company, and Liwan Venture Capital. The funds raised in this round will be used for production line upgrades, talent introduction, and market promotion.

Public information shows that China Machinery New Materials has successfully entered leading companies such as BYD, Tianyue Advanced, Tongguang Semiconductor, Tianyu Semiconductor, Hesheng Silicon, Jingsheng Mechanical and Electrical, and Luxshare Technology, and is expected to enter more than half of the country's leading silicon carbide substrate manufacturers this year.

Please, Log in or Register to view URLs content!
 

jli88

New Member
Registered Member
I wouldn't have given it a second thought if it was someone else, but this seems to be a Chinese industry executive of a trade body.

Does anyone know why CSIA head made those remarks?

Please, Log in or Register to view URLs content!
 
Top