Chinese semiconductor thread II

tokenanalyst

Brigadier
Registered Member

Zhongke Chuangxing leads the investment in advanced electronic materials developer "Anchu Technology"​

Zhangjiagang Anchu Technology Co., Ltd. (hereinafter referred to as "Anchu Technology") announced that it has completed the Pre-A round of financing. This round of financing was led by Zhongke Chuangxing, followed by Tianhui Capital and Zhangjiagang Smart Venture Capital. The funds will be used for production capacity expansion and equipment research and development .

" Anchu Technology " was established in 2020, focusing on the research and development, production and sales of advanced electronic materials. Its main products are formulated functional electronic chemicals ( such as polishing fluid, cleaning fluid, wet etching fluid, photoresist stripping fluid etc.) and electronic special gas safe storage negative pressure cylinders.

The core members of the company include doctors and professionals with many years of experience in R&D and production from internationally renowned materials companies. They have many years of experience in advanced process nodes and polishing and cleaning of various material surfaces. With the vision of becoming China's leading electronic materials platform, we are committed to providing The semiconductor field provides better polishing and cleaning solutions and more advanced electronic materials.

In the polishing and cleaning of silicon carbide substrates," Anchu Technology " has consumable products for the entire process including polishing fluid, post-polishing wafer cleaning fluid, and post-polishing machine polishing pad cleaning fluid. Compared with traditional solutions," Anchu Technology " 's product performance is better and its cost is more competitive.

In terms of silicon carbide substrate polishing fluid, international manufacturers such as Fujimi, Ferro, Cabot , and Saint -Gobain currently occupy most of the global market. These manufacturers generally use alumina as abrasive particles.

" Anchu Technology " innovatively uses metal oxides as abrasive particles. Its ACTL-WS series polishing fluid not only has a high polishing rate, but also can obtain a low surface roughness and low-defect wafer surface. Moreover, the polishing fluid can be recycled, reducing customer usage costs.

Regarding the wafer cleaning solution after polishing, potassium permanganate, polishing particles, debris and other contaminants remaining on the wafer surface contaminate the silicon carbide surface to a higher degree than silicon. In addition, the traditional RCA process has a long cleaning process and high process requirements." Anchu Technology " 's WK series cleaning fluid is a formula cleaning fluid specially designed for the above scenarios. It uses one-step cleaning, which reduces the cleaning process. It has good cleaning effect and can effectively remove organic matter and particles and other pollutants on the wafer surface.

In addition, in terms of cleaning fluid for the machine polishing pad after polishing the silicon carbide substrate,The PK series post-polishing machine polishing pad cleaning fluid developed by " Anchu Technology " can effectively clean the residue after polishing. It has excellent cleaning performance, short cleaning time, and can be diluted to reduce customer costs.

Based on many years of experience in semiconductor material development, post-CMP cleaning of silicon-based processes" Anchu Technology " has also developed a series of cleaning products. In the semiconductor manufacturing process, defects such as abrasive particles, organic matter, and metal ions are likely to occur on the surface after chemical mechanical polishing (CMP) . Special functional chemical cleaning is required to reduce defects and improve yield, which is a necessary step in chip production.

"Anchu Technology " has developed a series of PCMP post-polishing cleaning products. The products cover the 130nm to 5nm process and are suitable for cleaning after polishing of different materials, such as copper, cobalt, tungsten and silicon oxide surfaces.

In the field of copper etching solutions, Korean manufacturers occupy a mainstream position. However, their products have difficulties in maintaining a stable taper angle and cleaning residues.The copper etching solution developed by " Anchu Technology " does not contain fluoride ions, is environmentally friendly and safe,
can accurately control the etching rate and etching angle of copper, has no chamfering, and has no residue residue. The product has a longer service life and can be used in a longer period of time. Excellent etching performance can be maintained under high copper ion concentration.

In the field of high-end photoresist strippers, most of them are imported products. The difficulty is that when the thickness of the photoresist is larger, it is difficult for traditional photoresist strippers to completely remove it without damaging other contact materials." Anchu Technology's " high-efficiency and low-cost formulated photoresist stripper can effectively remove various photoresists without etching or eroding other exposed materials. It is not only suitable for advanced semiconductor chips, but also for LCD, LED, and flat-panel displays. .

In the field of electronic special gas negative pressure storage cylinders, the American company Entegris occupies more than 85% of the global market share, and most of the related products of domestic manufacturers are produced for their own use. The electronic special gas negative pressure storage cylinder developed by "Anchu Technology" is filled with porous materials with high specific surface area, including phosphine (PH3) and arsane (AsH3) , boron trifluoride (BF3) , and germanium tetrafluoride (GeF4). The isoelectronic special gas has a high adsorption capacity, is stable and safe to store, will not affect the purity of the gas, and has a high release volume. After testing, the product has fully reached the level of imported products in terms of various performance indicators.​
1711402966529.png

Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
 

gelgoog

Brigadier
Registered Member
Please, Log in or Register to view URLs content!
"Alibaba's research arm, the Damo Academy, has promised to deliver a server-grade RISC-V processor later this year, showed off a RISC-V-powered laptop running the open source cut of Huawei's CentOS spinout, and talked up a growing community working on the permissively licensed CPU instruction set architecture.

News of the forthcoming server processor – dubbed the C930 – emerged at last week's Xuantie RISC-V Ecological Conference held in Shenzhen. Numerous Chinese media reported that Damo reps announced the imminent debut of a processor that, like other chips cooked up by the Alibaba lab and its T-Head chip design unit, are aimed at server-class workloads. It's 2024, so that means AI is also on the agenda."
 

tphuang

Lieutenant General
Staff member
Super Moderator
VIP Professional
Registered Member
it is curious that after all the effort by CAS/BOAA at developing its various RISC-V cores, T-Head is doing a much better job of utilizing the latest RISC-V ISA to develop cores and CPUs.
 

tokenanalyst

Brigadier
Registered Member

Chipsea Technology: A number of automotive-grade MCU chips have been introduced to customers and mass-produced.​


Chipsea Technology stated on the interactive platform that the company is smoothly promoting the development of automotive MCU-related businesses. Currently, a number of automotive-grade MCU chips have been introduced to customers and achieved mass production.

In addition, Chipsea Technology currently has a number of automotive electronic products that have passed AEC-Q100 and are in mass production, which can be used in electric seats, taillights, headlights, air conditioning controllers and other fields. Chipsea Technology said that its automotive electronics strategy is advancing steadily and it will continue to expand investment in automotive products to further consolidate its position in the automotive semiconductor market.

In 2023, Chipsea Technology achieved total operating income of 433 million yuan, a year-on-year decrease of 29.91%; it achieved a net profit of -143 million yuan, turning from profit to loss year-on-year.

Regarding the reasons for the change in performance, Chipsea Technology stated that due to factors such as the downturn in global economic growth and changes in the overall macroeconomic and semiconductor cycles, the sentiment and demand in end markets such as consumer electronics have declined, and the company's operating income has declined. At the same time, the company's low-end consumer products were affected by industry destocking pressure, and product prices were put under certain pressure, resulting in a decline in gross profit margin.

In addition, the announcement also showed that the inventory depreciation provisions set aside by Chipsea Technology in 2023 will have an impact on the net profit of the current period of approximately RMB 27.0428 million, an increase of RMB 23.6682 million compared with the same period last year.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

Sanzhi New Materials Nantong Phase II High-Precision and High-Purity Silicon Carbide Components Project for Semiconductor Equipment Starts Construction​


Jiangsu Sanzhi New Materials Technology Co., Ltd. Nantong Phase II high-precision and high-purity silicon carbide components project for semiconductor equipment started construction in the Nantong Development Zone. This is an important step taken by Sanzhi New Materials in the semiconductor field after lithium batteries and silicon carbide components for photovoltaics. The project is expected to be put into production in 2026, by which time the company's overall sales will exceed 1 billion yuan. Silicon carbide ICP, PVD, RTA carrier plates, beams and guide rails made of CORESIC® SP silicon carbide material have a thermal conductivity of more than 160W/m·K, a surface roughness of better than 10nm, and excellent plasma etching resistance. Based on CORESIC® SP silicon carbide material and combined with Sanzhi's unique diffusion welding technology, various types of silicon carbide suckers are precision manufactured to solve the parts supply crisis for key semiconductor machines.

As an important structural ceramic material, silicon carbide ceramics are widely used in fine chemicals and pharmaceuticals due to their excellent high temperature mechanical strength, high hardness, high elastic modulus, high wear resistance, high thermal conductivity, corrosion resistance and other properties. Aerospace, petrochemicals, battery materials, photovoltaics and semiconductors. For example, in the field of photovoltaic cell production, silicon carbide ceramics have higher heat carrying capacity, can withstand high temperatures of 1,200 degrees Celsius, and are resistant to hydrofluoric acid corrosion. They can replace quartz materials as wafer boats and boat supporting components for carrying silicon wafers. The use time is from 5 months is extended to more than two years, the overall cost performance is increased by 30%, and energy saving is more than 10%.

1711465151937.png

Sanzhi New Materials has long been committed to the research and development, production, sales and engineering applications of high-performance silicon carbide ceramics. Its products are widely used in fine chemicals and pharmaceuticals, aerospace, petrochemicals, new energy materials, electronic glass, microelectronics and semiconductors, photovoltaics, Optics, high temperature industrial kilns and other fields.

1711465171320.png

Silicon carbide cantilever propellers are currently widely used in the high-temperature heat treatment core components of the photovoltaic TOPCon process. They replace the existing quartz materials and have a longer service life, usually four times that of quartz. Therefore, the overall energy will be greatly reduced, which is very important in the industry. Important breakthrough. TOPCon is a new process for photovoltaic crystalline silicon cells. It has obvious advantages such as high conversion efficiency and high mass production cost-effectiveness. The innovative use of silicon carbide ceramics in its production lines is the "behind-the-scenes driving force" of technological progress and industrial upgrading, and its development is oriented towards new technologies. The energy direction has huge potential and the market is promising.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member
The cabbageization of night vision and thermal imaging.

Ruichuang Microna obtained the utility model patent authorization: "an infrared detector chip wafer and an infrared detector".​


Ruichuang Microna (688002) has newly obtained a utility model patent authorization. The patent is called "an infrared detector chip wafer and an infrared detector". The patent application number is CN202322225803.4. The authorization date is is March 26, 2024.

Patent abstract: This application discloses an infrared detector chip wafer and an infrared detector packaging structure. The infrared detector chip wafer includes a substrate wafer, an epitaxial layer, an interlayer medium, an integrated circuit, and an integrated circuit connected to the integrated circuit. Microbolometer; the integrated circuit is provided with a through hole that penetrates the interlayer medium, the epitaxial layer and the substrate wafer. The side walls of the through hole are provided with an insulating layer, and the through hole is filled with conductive material so that the integrated circuit and The conductive material is connected; the lower surface of the substrate wafer is provided with a conductive structure connected to the conductive material, so that the integrated circuit is connected to the external circuit through the conductive structure. The technical solution disclosed in this application is to provide a through hole at the integrated circuit and fill the through hole with conductive material, so that the integrated circuit is connected to the external circuit through the conductive material and conductive structure, and there is no need to draw interconnection lines from the integrated circuit. To connect to external circuits, thereby shortening the electrical interconnection length, improving interconnection reliability, and reducing signal transmission delay and packaging volume.

Since the beginning of this year, Ruichuang Micronano has obtained 4 patent authorizations, an increase of 300% compared with the same period last year. Based on the company's 2023 interim financial data, the company invested 304 million yuan in research and development in the first half of 2023, a year-on-year increase of 29.65%.

Please, Log in or Register to view URLs content!
Please, Log in or Register to view URLs content!
 

sunnymaxi

Captain
Registered Member

Wuqi launches multi-modal 3D vision processing chip, moving from lightweight market to broad robotics field ..​


Chongqing Wuqi Microelectronics Co., Ltd. (hereinafter referred to as "Wuqi"), a domestic SoC chip designer in the field of high-performance short-range communication and edge computing, announced the launch of the multi-modal 3D vision processing chip WQ5008 , which empowers customers with new multi-modal vision technology. It can cover a broad field of robots and promote more application scenarios to achieve intelligent upgrades.

In recent years, with the rapid development of biometric and artificial intelligence technology, mainstream 3D vision technology is evolving from a single ToF, binocular, and structured light to a binocular ToF, binocular structured light, and hybrid structured light+ ToF. The evolution of a single technology has moved towards the deep integration of multiple technologies , which has overcome their respective shortcomings to a large extent. Just as insects can use compound eyes to observe the world in multiple dimensions, the multi-modal visual perception brought about by the integration of multiple 3D vision technologies can provide A series of machine vision problems such as ambient light interference, detection distance and detection accuracy bring new thinking and solutions.

8fd01eab3a2faa26e5db1bfbe48a4574.jpg


Facing new fields and new scenarios, Wuqi multi-modal 3D vision processing chip can meet more market demands and product innovation directions while achieving technological upgrades, and has achieved the ultimate improvement in product performance based on the first-generation chip WQ5007 :

  • Built-in self-developed high-performance RISC-V multi-core architecture supports enhanced computing power upgrades and SIMD instruction set improvements;
  • The new self-developed ISP algorithm and image preprocessing module can flexibly meet image processing needs, and can realize image rotation at any angle, affine transformation, scaling and distortion correction, etc.;
  • The 3D vision hardware processing module has been further improved. In addition to structured light, a TOF depth processing module and a binocular processing operator have been added;
  • The upgrade supports 3-channel 1080P@30 frame high-resolution image capture, high-performance image processing, and H.264/MJPEG encoding 1080p@30 frame;
  • Supports financial-grade security encryption engines and has a complete TrustZone solution to effectively prevent various side-channel attacks and provide customers with comprehensive data asset protection;
The self-developed secure NPU supports terminal decryption. The neural network weight data trained by the user can be stored in flash (supports offline encryption tools) or memory in an encrypted form. It provides pipeline hardware decryption before entering the NPU's computing unit, which can be well protected. User assets, and private or sensitive information;

It has ultra-low power consumption, dynamic power management, leading power consumption indicators in the industry, and is extremely cost-effective in battery applications.

Please, Log in or Register to view URLs content!
 
Top