Chinese semiconductor industry

Status
Not open for further replies.

horse

Major
Registered Member
@tokenanalyst Bro another one from Lingbo Weibu, never heard this company, but having achieved a breakthrough what more of other unknown Chinese tech company.

Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!


Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!

353 views34 minutes a

You know brother, I had this thought right now.

We kind know it's over. It is not over officially, but it seems inevitable.

Full domestication of 28nm and 14nm at hand, and EUVL coming too.

It is happening too fast. It is China speed. The competitors cannot move that fast. They are standing still compared to China speed.

We know the news today. Meng Wanzhou got released, without much conditions, and no admission of actual guilt on anything. What is the point? Gee, how long was that court case? It was over 1000 days, that is 3 years almost!

How far did China go and Huawei go in 2 years in this tech war?

My god, it is that bad ass. China speed use to be only regarding construction, and factory production. Now it is going up market straight into R&D then quick application followed by commercialization.

Imagine another court case against Huawei somewhere started by the Americans. This lawfare has no chance against China speed.

By the time the lawyers finish arguing, what they were arguing about would be obsolete or irrelevant due to China speed.

Once this Chinese EUVL comes closer to production, it will shatter the entire IC industry worldwide, some friends and enemies will be gone forever.

No one is prepared for that. Not even the Chinese.

That is what they say in sports, speed kills.

And China has China speed.

:oops: :D
 
Last edited:

ansy1968

Brigadier
Registered Member
You know brother, I had this thought right now.

We kind know it's over. It is not over officially, but it seems inevitable.

Full domestication of 28nm and 14nm at hand, and EUVL coming too.

It is happening too fast. It is China speed. The competitors cannot move that fast. They are standing still compared to China speed.

We know the news today. Meng Wanzhou got released, without much conditions, and no admission of actual guilt on anything. What is the point? Gee, how long was that court case? It was over 1000 days, that is 3 years almost!

How far did China go and Huawei go in 2 years in this tech war?

My god, it is that bad ass. China speed use to be only regarding construction, and factory production. Now it is going up market straight into R&D then quick application followed by commercialization.

Imagine another court case against Huawei somewhere started by the Americans. This lawfare has no chance against China speed.

By the time the lawyers finish arguing, what they were arguing about would be obsolete or irrelevant due to China speed.

Once this Chinese EUVL comes closer to production, it will shatter the entire IC industry worldwide, some friends and enemies will be gone forever.

No one is prepared for that. Not even the Chinese.

That is what they say in sports, speed kills.

And China has China speed.

:oops: :D
@horse we had the same thinking process but you led me to it bro :cool: !!! First CORE COMPETENCY instead of applying a total ban on SMIC they announced it partially to 10nm and below knowingly that the Chinese had mastered the 28nm and 14nm tech equipment and processes. Second Market Condition the possible glut in the market, we are seeing alarm bells from Morgan Stanley and JP Morgan and nobody is listening cause Intel, TSMC and Samsung are waiting among them who will blink first and cancel their FABS plan or maybe they're relying more from US subsidies and policy support. If you see the timeline of the completion of those FABS by year 2025 China may be mass producing a 7nm 3D chiplet ( DUVL year 2023) and of 5nm by a Chinese EUVL. Third Policy Support and Plan, the 13th 5 year plan had planted the seed of tech independence the 14th plan will build on it the innovation aspect that will leap frog the West. that will lead to fourth National Rejuvenation and Sustainability, the adversarial relationship will only increase the nationalism aspect as the competition intensify, for the Chinese its a survival issue and the US provide the premise as a motivating factor.

For me bro there is a realization from the US and a rethink among some knowledgeable people especially in the US Commerce dep't, you can see the push back regarding a ban on Honor. As you said they see the futility of the current policy but whatever policy changes the damages had been done and the most the US can get is to let its allies share its burden.
 
Last edited:

Weaasel

Senior Member
Registered Member
Honestly at this point, I think next time the Gov could pay off a foreign gov to sanction some Tech IP that Chinese Gov wants companies to develop domestically lol

These companies are utterly idiotic, until they get sanctioned then wont ever do anything for domestic tech
Their focus was/is on immediate short term profits. They assumed that the United States would perpetually also follow the logic of emphasizing profit making for its companies - which sales to the incomparably large Chinese domestic market - provides. Given that Trump's Trade War showed that the United States is determined to punish China and attempt to prevent China from becoming as larger economy that the United States as much as possible, they then realized the need to develop domestic supply chains for everything worth purchasing and granting market to domestic materials and equipment providers, because foreign sources can be very easily disrupted by the will of powerful actors such as the United States. Biden doubling down on that policy makes it clear to Chinese companies that what Trump started is going to last, and even if Biden or a future administration relaxes the measures, it is a case of much more than once bitten twice shy.
 

WTAN

Junior Member
Registered Member
excuse me ! can you tell me when was this paper published ?
This paper was published in 2012. But the actual research was probably completed several years before.
The 10KW Pulsed MOPA CO2 Drive Laser was developed quite a few years ago.
Now the task for the Shanghai Institute is to scale up the Drive Laser by using more Amplifiers and also more Powerful ones.
The layout of the Drive Laser will probably resemble that of the Mitsubishi/Gigaphoton 27KW Drive Laser.
Gigaphoton achieve EUV Source power of 250W in 2016.
 

ansy1968

Brigadier
Registered Member
If Japan has this technology, why does it utterly fail in getting any market share in EUV tools?
@ZeEa5KPul bro they are not part of the EUVL consortium led by the US, it include ASML (litho), TSMC, CYMER (laser) and ZEISS (optics). It was form to destroy the Japanese lead in Semiconductors during the 80's and 90's especially in DUVL with the likes of Nikon and Canon. With Japan joining the US led effort in restricting sales of IC equipment to China, it sign its owned death warrant as Gigaphoton 250kw LPP will not have any user as ASML the only EUVL producer had bought CYMER as its sole supplier.
 

Weaasel

Senior Member
Registered Member
This paper was published in 2012. But the actual research was probably completed several years before.
The 10KW Pulsed MOPA CO2 Drive Laser was developed quite a few years ago.
Now the task for the Shanghai Institute is to scale up the Drive Laser by using more Amplifiers and also more Powerful ones.
The layout of the Drive Laser will probably resemble that of the Mitsubishi/Gigaphoton 27KW Drive Laser.
Gigaphoton achieve EUV Source power of 250W in 2016.
I recently heard of nanoimprint lithography, which Canon has developed the equipment for, and which does not utilize projection lenses to make imprints of circuit patterns of a reticle unto photoresists resin layered unto semiconductor wafers, but instead "perfectly" positions the photoresist resin atop a wafer using inkjet technology and then also "perfectly" places and presses the reticle atop the photoresist and then upon the incidence of DUV light sources through the transparent portions of the reticle that impact the photoresists, circuit patterns capable of matching what can be achieved by ASML' s EUV machines (>10 nm) can be achieved.

Has China attempted any research and development into nanoimprint lithography? Does Canon have a good chance of commercially marketing its technology?
 
Status
Not open for further replies.
Top