Chinese semiconductor industry

Status
Not open for further replies.

ansy1968

Brigadier
Registered Member
They won't sanction Lenovo, cause is almost like an American company. If you buy Lenovo you almost buy American laptop.
@ILikeChina bro its the template of what American want of the Chinese technology company and all is well guarantee until Trump start sanctioning and of lately Xi counter action. So Alibaba and others CEO should thank them both, otherwise they will be gobbled up by the Americans institutional and hedge fund investors.
 

ZeEa5KPul

Colonel
Registered Member
Patience? LOL Look at Huawei. The world now forgets about Huawei as the US sanction them without mercy. What if the US sanctions Chinese companies like Xiaomi, BBk electronic, Lenovo, or all Chinese companies from using their chips or software from now. China company has no replacement and the popularity will be faded away. The world will focus on Samsung or sony again.
Then TSMC fabs eat a barrage of cruise missiles.
 

ansy1968

Brigadier
Registered Member
Then TSMC fabs eat a barrage of cruise missiles.
@ZeEa5KPul bro TSMC had made a lot of contribution to China IC development, Richard Chang the founder of SMIC is an alumni of TSMC, so is Liang Mong Song who help SMIC graduate to 14nm FINFET tech and also the mastermind behind SMIC 7NM , 5NM maybe 3NM development. Taiwan contribution are vital now we may agree to disagree but my main point is why destroy something that you owned.

Within 2025 hopefully there is NO war in the Taiwan strait, TSMC will no doubt expand its operation in China using Chinese equipment to survived, if that happen it will enhance SMEE/ CETC like they did with ASML, right now it is sounding an alarm of glut in the market. Nobody is listening cause national security is paramount. And my guess is it finally dawn on them that their future is China and having them onboard is a Big Plus.
 
Last edited:

ansy1968

Brigadier
Registered Member
We all know 2022 is the date of 14nm domestic production an acknowledgement with a warning coming From CCS INSIGHT.

Chinese Chipmakers Set to Achieve 14 nm Breakthrough in 2022​

Semiconductor manufacturing turns focus to mature silicon processes
Seven months after the US government placed restrictions on Semiconductor Manufacturing International Corporation (SMIC), China’s largest pure-play foundry, the Chinese semiconductor industry is starting to find its footing in a new global market reality.
While being effectively shut out of access to the latest semiconductor fabrication tools needed to catch up to global leaders such as TSMC, major Chinese chipmakers including SMIC have continued to develop 14 nm and 12 nm process nodes based on fin field-effect transistor (FinFET) technology, using mature deep ultraviolet lithography toolsets and processes which make up the majority of existing semiconductor production today.
SMIC sees an opportunity to focus on such mature silicon processes, which can improve production yield and therefore make a stronger impact on its bottom line. In fact, the company’s co-CEO Zhao Haijun has said that, based on positive feedback from customers about its 14 nm process capability, SMIC has decided to increase its spending by $1.1 billion to a total of $4.3 billion to meet demand for chips for communications and automotive applications. We expect China to be fully self-reliant in terms of 14 nm technology by 2022.
Elsewhere in China’s semiconductor industry, registration of new home-grown semiconductor firms has tripled since the start of 2021, according to the South China Morning Post, potentially creating a healthy home market for chip fabrication services like those of SMIC.
The Chinese semiconductor industry is largely being driven by the government, which is pushing for the country to become self-sufficient in silicon production by that year. That goal was dealt a huge setback by US sanctions curbing US technology exports to Chinese companies, but we believe that the Chinese industry is still capable of producing chipsets based on more mature process nodes.
Although leading-edge processes are used to produce sophisticated silicon for high-performance computing and artificial intelligence, global market needs for chips based on mature silicon processes remain strong. Case in point, the semiconductor shortage that’s holding back the automotive industry is mainly because of a lack of mature silicon process capacity, as many old fabs were retired early at the start of the pandemic. We believe that about half the global spending on semiconductors is on mature process chips, so China’s ability to create a vibrant and healthy semiconductor industry is still a very tangible possibility, with or without government support.
Recently, China Mobile has stepped into the industry by creating its own chipmaking business called XinSheng Tech, which will focrus on chipsets for Internet of things (IoT) devices. It plans to use its own IoT chip design to customize and enhance its existing IoT business unit, which currently has more than 850 million connections. With the world’s largest wireless operator looking to bring silicon design in-house, the future of Chinese semiconductor manufacturing is getting a big boost.
Furthermore, China’s Vice Premier Liu He has started a programme focussed on using the country’s semiconductor manufacturing resources and talent to make China a potential world leader in compound semiconductors. These are chips built with a mix of materials beyond silicon, such as silicon carbide and gallium nitride, used extensively in radio frequency components that are increasingly appearing in 5G devices. Because compound semiconductors don’t rely on the bleeding edge of chip manufacturing, the Chinese government sees them as an opportunity to become a global leader. This centralized government effort has been successful in the past and Vice Premier Liu is betting that China can pull this off with the necessary support.
The global semiconductor manufacturing industry has become split, as leading-edge process nodes grow finer and only a handful of players with enough scale can afford to invest in new process technologies that cost many billions of dollars. TSMC, Samsung and Intel are among those with enough scale to lead the charge to push Moore’s Law to the limits.
However, for foundries beyond these big-three players, interest in constantly investing in leading-edge process technology has found its limit. GlobalFoundries, the third largest pure-play foundry (Intel is still considered a captive foundry), has recently delayed investing in process nodes below 12 nm to focus on addressing the global silicon shortages. Likewise, UMC of Taiwan — the fourth largest — and SMIC see opportunities with existing process technologies, which are more in demand, creating less incentive for these foundries to keep up with the heavy capital investment needed to stay on the leading edge.
Amid the increasingly tense geopolitical trade skirmishes between the US and China, many non-market forces are introduced in what would otherwise be governed by the free market. However, the political realities are inescapable and the latest trade actions have forced China’s hand in its quest for semiconductor independence. But as with all business challenges, there’s a silver lining in this cloudy picture. China’s pursuit of semiconductor self-sufficiency continues as it turns its focus to building a stable, vibrant and healthy silicon ecosystem, capable of sustaining an industry dominated by international rivals.

Please, Log in or Register to view URLs content!

Written by:
Please, Log in or Register to view URLs content!

Posted on 06/08/2021
 

ansy1968

Brigadier
Registered Member
Any news on SMEE DUV 28nm? When it can be completed? 2022? 2023? We need 7nm faster now. SMIC now still stuck at 14nm since mid-last year. why? This is a bad sign man.
@Annihilation98 bro for your perusal, hope this help. :)

from iNEWS a mainland publication

Bill Gates' worries may become a reality, ASML has frequently shown favors to China, which has explained everything​

2021/08/08 00:16:56 HKT

After Huawei fell into the chip crisis, "surviving" became the main goal for the next few years. Affected not only Huawei, all of China's chip industry, and even the entire chip market are completely in chaos. As the center of the chaos in the chip market, all semiconductor companies in my country actively responded to the challenge and introduced relevant strategies to break through the blockade of chips. So far, my country's semiconductor industry has also sent countless good news. The successful mass production of 14nm chips and the risky trial production of 7nm chips, major breakthroughs in carbon-based chips, optical chip concepts, and breakthroughs in etching machines have followed one after another. Foreign media marveled at China. There are also deep concerns about speed at the same time.
Bill Gates' worries may become a reality, ASML has frequently shown favors to China, which has explained everything


Bill Gates' fears may become a reality, and ASML's frequent goodwill to China has already explained everything. World-renowned entrepreneur Bill Gates once said: Blindly suppressing the beautiful country will only promote China to accelerate the realization of semiconductor autonomy, and then change the layout of the global semiconductor industry. While the beautiful country loses its semiconductor dominance, it will also cause countless people to lose their jobs. It now appears that Bill Gates' concerns are gradually becoming reality. Not long ago, Peter Wen, CEO of ASML, the world's most advanced lithography machine manufacturer, made his judgments on the semiconductor industry and his friendly attitude to my country. The warnings and concerns of Bill Gates were confirmed.



Lithography machine is the most critical equipment for chip manufacturing. The main reason why our country lags behind is because of the limitation of lithography machines. As my country's largest wafer foundry, SMIC has been unable to break through the 7nm high-end chip production process for a long time, largely because of the lack of EUV lithography machines. The reason why TSMC and Samsung are able to occupy almost all the high-end chip markets in the world is also because the two of them have almost all EUV lithography machines in their pockets. Currently EUV lithography machines are only provided by ASML in the Netherlands.
Bill Gates' worries may become a reality, ASML has frequently shown favors to China, which has explained everything


A long time ago , SMIC had spent US$150 million to order an EUV lithography machine from ASML. However, today, with the obstruction of the beautiful country, this order has long since disappeared. But despite this, ASML is also providing lithography machines for China as much as possible. Especially recently, ASML has once again expressed a friendly attitude to China, and successfully sold 11 lithography machines to SMIC in the first quarter of 2021 . Of course, these 11 lithography machines are all DUV lithography machines. ASML, a well-known Dutch lithography machine company, has frequently shown good to China and confirmed Bill Gates' concerns. ASML further stated that once the technical restrictions on China's high-end lithography machines are released, ASML will provide assistance as soon as possible. So why is ASML so willing to help China?
Bill Gates' worries may become a reality, ASML has frequently shown favors to China, which has explained everything


First of all, because of the achievements of the Chinese semiconductor industry in the past year or so, ASML has felt a huge challenge. The continuous breakthrough of technical problems makes ASML not daring to guarantee that in the future, it will still have its own high-end lithography machine supplier. Based on the current breakthrough speed of China's chips, Peter Wen said that in the next 15 years, China's semiconductors will make a complete breakthrough, and then be able to produce all the goods they need . So by this time, ASML is bound to face huge losses.
Bill Gates' worries may become a reality, ASML has frequently shown favors to China, which has explained everything

The second is the most fundamental interest drive. In 2020, my country's chip imports amounted to trillions of dollars, making it the world's largest semiconductor importing country. This undoubtedly proves that China has a huge consumer market and the demand for chips is enormous. Although ASML does not produce chips, it does produce chip manufacturing equipment. On the road to localization of Chinese chips in the future, the demand for chip manufacturing equipment will inevitably be huge. If we are at odds with us at this time, it will be difficult for the Chinese market to have a foothold in the future.
Bill Gates' worries may become a reality, ASML has frequently shown favors to China, which has explained everything


Finally, it is because ASML has encountered technical difficulties, and it will take at least 5 years to make a further breakthrough. In our country's plan , the chip self-sufficiency rate will reach 70% in 2025. If we enter the market by then, everything will be too late. Nowadays, my country's successive breakthroughs in the field of chips have never failed to provide breakthrough ideas for them. After all, most of the top semiconductor talents in Silicon Valley are Chinese.

Of course, although ASML continues to show a friendly attitude to China, it still cannot help us with high-end lithography machines. Because the key parts of the production technology of the lithography machine are all in the hands of beautiful country. And now many semiconductor companies, including SMIC, have expanded their 28nm and other mid-end process chip production lines. ASML's DUV lithography machine is not yet within the limits of a beautiful country. Now is an excellent time for him to make money.


Although ASML continues to release friendly signals to us, we still have to remember that only we can be reliable at any time, and that the technology is in our own hands is the most reliable. In the face of ASML's positive comments, we should speed up the research speed and strive to break through the chip predicament as soon as possible.
 

jfcarli

Junior Member
Registered Member
Three stupid questions:

a) Can one use Electronic Design Automation (EDA) needed for 28nm chips in the design of under 28nm chips?

b) Can one copy the EDA software and share with other small companies which are just coming into the market?

c) Does EDA software leave a trace that it was pirated in the actual chips?

Just curious..
 

jfcarli

Junior Member
Registered Member
Please, Log in or Register to view URLs content!

What I found interesting is this part:

"However, leading-edge chips at 7 nm and below made up only 6.4% of the $440 billion of chips sold last year, according to the World Semiconductor Trade Statistics organization. It is, therefore, a relatively small proportion right now. Today, more than 95% of the market is at 14 nm and above,” Taylor said."

Frankly, I think China should develop SMEE and simultaneously buy whatever ASML DUV it can get.

With DUV it can achieve the 7nm mark and that covers 95 per cent of chips consumed.

I would invest 95% of the efforts in DUV and 5% in EUV .

The 5% is important, but the 95% is much more.

Additionally, if China becomes 95% self sufficient it will deal a blow in US and allies which they will never be able to recover from. Specially if China starts flooding the market with whatever it does not consume itself.

DUV!!! DUV!!! DUV!!!

My 2 cents.
 

FairAndUnbiased

Brigadier
Registered Member
Please, Log in or Register to view URLs content!

What I found interesting is this part:

"However, leading-edge chips at 7 nm and below made up only 6.4% of the $440 billion of chips sold last year, according to the World Semiconductor Trade Statistics organization. It is, therefore, a relatively small proportion right now. Today, more than 95% of the market is at 14 nm and above,” Taylor said."

Frankly, I think China should develop SMEE and simultaneously buy whatever ASML DUV it can get.

With DUV it can achieve the 7nm mark and that covers 95 per cent of chips consumed.

I would invest 95% of the efforts in DUV and 5% in EUV .

The 5% is important, but the 95% is much more.

Additionally, if China becomes 95% self sufficient it will deal a blow in US and allies which they will never be able to recover from. Specially if China starts flooding the market with whatever it does not consume itself.

DUV!!! DUV!!! DUV!!!

My 2 cents.

DUV has not yet really reached its limits in terms of productivity and there's tons of new, innovative chip architectures possible with DUV lithography. For example YMTC still uses relatively large DUV lithography tools for patterning, but with unique non-lithography techniques like wafer bonding and high aspect ratio etch is able to come out with innovative memory architectures that equal those of companies with better litho tools.

In addition, tech like reactive ion etching and wafer bonding are more chemistry based, which China is excellent at from both industrial and academic perspectives. Lithography is more mechanical which favors incumbents and which China has not been as good at. For example etching is mostly about the etch gas, plasma generation, etc. and you just fill the chamber with etch gas, while you need a precision wafer stage and mask aligner for lithography, particularly EUV lithography.
 
Status
Not open for further replies.
Top