Chinese semiconductor industry

Status
Not open for further replies.

manqiangrexue

Brigadier
Generalizing what one considers 'typical' of a group to all members of that group is a textbook example of racism. It might be justified in some cases (not this case, I don't think), but it's still racism.
LOLOLOL Why do you think it's justified in some cases but not this one? That's hilarious. This is a textbook example of when it's justified. How many Indian trolls were banned here? How do Indians act on social media? If you said it's never justified, ok, you are that type of guy, a bit unrealistic but that's not my business. But if you think it is justified in some cases, then how much more justification could you possibly want when it comes to Indians being trolls?
Can we all just clam down?
I'm calm. I'm not the one with the, "I hope you're happy now! I've ASKED to leave this forum" drama LOLOL
 

ansy1968

Brigadier
Registered Member
I'm not optimistic about Huawei's phone business either. HiSilicon has also suffered significant setbacks. But China is larger than Huawei. Honor has been spun-off and took a lot of Huawei employees with them. Unisoc/Spreadtrum now has former HiSilicon executives at the helm and is implementing organizational reforms.
I share your concern, but is cautiously optimistic, I believe that SMIC will deliver on its 7nm N+2 as I previously post on the 1st quarter of 2022. the same quarter that Huawei is expected to exhausted its hoarded/ stored chips.
It's a common mythological theme across cultures that new life will arise from the body of a fallen giant. Huawei itself and its core telecom infrastructure business will almost certainly survive, some branches might have to be trimmed. But those so trimmed will be seeds of what's coming next.
And the most important, I think Huawei can use SMIC 14nm and 12nm chips on its 5G based station.
 

escobar

Brigadier
You've been polluting this forum since 2008, so I'd like to ask you a question: Given how far China has come since then, how frustrating is that to you? How does it feel that despite all your ill-wishes, China rises from strength to strength?
lol, what a bunch of nonsense. Where the article say China will never overcome its deficiencies in semiconductor?
If you think I'am frustrated by China rise, Why do you even care ? Are you searching for approval for China strength? lol
If you don't have anything constructive to say, spare me these stupid and face saving ineptitude that you called question.
 

ansy1968

Brigadier
Registered Member
A western engineer perspective on China IC development

From Ollie Ranta (Pakistan defense forum)

Sometimes you find interesting text in surprising places: From
Please, Log in or Register to view URLs content!

Bora Taş on January 30 2021
Lives in The Netherlands Computer scientist/chip designer.

"United States is pressuring the Netherlands to block the sale of EUV equipment to SMIC by Dutch company ASML is ultimately affecting China's dream in technology". How long US can resist China's development?

I think I am the perfect person to answer this. I have been working in the semiconductor industry for years, currently living in the Netherlands, lived and worked in China for 3 years, I know a lot of engineers from ASML (expecially after they hired hundreds of Turkish engineers). Let me tell you something: High-end semiconductor manufacturing is black magic. Both the processes and tools used for it are very complex. ASML’s EUV lithography machine is probably the most complex tool humankind ever developed since it stopped jumping between trees. It took billions of Euros and decades of experience to perfect it. Other experienced lithography machine suppliers failed at it. China has no experience in high-end semiconductor manufacturing tools with the exception of one-off/few-off prototypes.

ASML’s EUV lithography machine. Needs 41 semi-trucks to get transported, costs $150 million, has 100.000 major parts, has mirrors that need months of grinding to reach needed smoothness, needs multiple people with PhD’s as machine operators. Quite high-tech. Isn’t it?

Unfortunately, ASML is a very convenient target for the USA. The company uses a lot of critical parts from the USA but those parts don’t represent anything significant in the US economy in terms of their monetary value. Chinese electronics industry still depends on foreign chips so it can not threaten fabs with banning the sale of chips in China that were manufactured using ASML tools. Also, China isn’t a big customer of ASML too.

Is China hopeless? No.

1- All of those tools are engineered and made by humans, and the laws of physics are the same both in the Netherlands and China. If the Netherlands could, then there is no reason for anybody else to fail with the correct approach.

2- China is filthy rich compared to the Netherlands. Chinese economy is 17x of the Netherlands’, 9x of SK’s, 27x of Taiwan’s, 3+x of Japan’s. With state support, Chinese fabs and tool makers can hire the top people from the rest of the world with salaries ASML, LamResearch, AM, Synopsys, TSMC, Samsung, … simply can not compete with. A significant portion of these companies’ employees are expats anyway, most of them are just after money. In fact China is already doing this successfully with good results. For example, it already has a working EUV lithography machine prototype, already caught up with the rest in chip testing, packaging, wafer production, also its first immersion lithography machine (good enough for most things) is getting prepared for commercial use.

3- China is a scientific powerhouse on its own. It is the country with most patent applications, most research output, graduates more STEM students than any other country, 2nd largest R&D spender, has 11 universities in top 100. This leads us to my first point. If the Netherlands could, so can China if given enough time.

4- Catching up is much easier than innovating. Knowing something is possible and having a general knowledge of how it works make things much easier.

5- Time is on the Chinese side. Technology of semiconductors is close to maturity/stalling (choose the word depending on your view). If the development slows (which it does) it gives China the opportunity to catch-up. If a tech revolution happens, then the playing field evens out anyway.

6- You don’t need EUV for the most things. You don’t even need high-end processes for the most things. There is more to semiconductors than the latest smartphone processors, GPUs, and CPUs. Look at iPhone 12 teardown videos. You will see a lot of chips. Only one of them needs EUV. An average modern car has 250+ computers inside. That means thousands of chips. All of them are manufactured using old processes. This is even more true for military and space applications. Those use very old chips that are known to be reliable and secure.

Conclusion: Blocking ASML from selling EUV machines to China can hurt Chinese businesses for some time but in the grand scheme it is insignificant. The USA needs to run faster rather than keep trying to block China if it wants to preserve its dominance in tech.
 

Skywatcher

Captain
2- China is filthy rich compared to the Netherlands. Chinese economy is 17x of the Netherlands’, 9x of SK’s, 27x of Taiwan’s, 3+x of Japan’s. With state support, Chinese fabs and tool makers can hire the top people from the rest of the world with salaries ASML, LamResearch, AM, Synopsys, TSMC, Samsung, … simply can not compete with. A significant portion of these companies’ employees are expats anyway, most of them are just after money. In fact China is already doing this successfully with good results. For example, it already has a working EUV lithography machine prototype, already caught up with the rest in chip testing, packaging, wafer production, also its first immersion lithography machine (good enough for most things) is getting prepared for commercial use.
China might not be able to produce an EUVL machine that's good as the ASML NXE series in terms of productivity, reliability and ease of maintenance for a while, but long as the resolution is good enough, China can just keep on using and improving on its EUVL technology until its accumulates enough feedback to improve and catch up. As Boras Tas notes, China has a lot of money and technical personnel.
 

vincent

Grumpy Old Man
Staff member
Moderator - World Affairs
2. The proportion of revenue from advanced node fell from 14.6% in the third quarter of 2020 to 5.0% in the fourth quarter
来自14/28纳米的收入占比从2020年第三季度的14.6%下滑至5.0%

Please, Log in or Register to view URLs content!

There are three ways to interpret this: either the 14/28nm node revenue dropped a lot, or the revenue for 28nm+ nodes jumped a lot, or a combination of the two.
 
Last edited:

Hendrik_2000

Lieutenant General
Here is the financial report of SMIC look good to me. Revenue crack the 1 billion dollar Net income grew 93% Y on Y
Please, Log in or Register to view URLs content!

SMIC's revenue up 10.3% year-on-year to $1.03 billion in Q4​

February 4, 2021 By:
Please, Log in or Register to view URLs content!


SMIC's revenue rose 10.3 percent year-on-year to RMB6.671 billion ($1.03 billion) in the fourth quarter of 2020, while gross margin fell 5.8 percentage points to 18.0 percent, the leading contract chipmaker from China said in an earnings release on Thursday.
Net income attributable to SMIC shareholders for the quarter was RMB 1.252 billion, up 93.5 percent year-on-year.


SMIC said the increase in revenue and profit in the fourth quarter was mainly due to higher revenue from increased wafer sales and higher average selling prices, increased funding for government projects, and higher investment income from investments in affiliates and financial assets.
For the full year 2020, SMIC's revenue increased by 25.4% to RMB25.250 billion and gross margin increased by 7.1 percentage points to 23.6%.

Net income attributable to SMIC shareholders for 2020 was RMB4,627 million, an increase of 204.9 percent year-over-year.
Looking ahead to the first quarter of 2021, SMIC expects revenue growth of 7-9% YoY and a gross margin of 17-19%.

SMIC stated that restrictions on the procurement of US-related products or technologies due to its inclusion on the US government's entity list have created uncertain risks to performance expectations.
SMIC's export license application also takes time and is subject to uncertainty, the company said.
Based on this, SMIC expects to target mid- to high-single-digit revenue growth (5-9 percent) for the full year of 2021.
The company expects to target revenue of about $2.1 billion in the first half of 2021, with a full-year gross margin target of mid 10-20 percent.

In addition, under the influence of the entity list, SMIC will consider strengthening the development and deployment of the first and second-generation FinFET multiplex platform and expanding the reliability and competitiveness of the platform.
 

WTAN

Junior Member
Registered Member
A western engineer perspective on China IC development

From Ollie Ranta (Pakistan defense forum)

Sometimes you find interesting text in surprising places: From
Please, Log in or Register to view URLs content!

Bora Taş on January 30 2021
Lives in The Netherlands Computer scientist/chip designer.

"United States is pressuring the Netherlands to block the sale of EUV equipment to SMIC by Dutch company ASML is ultimately affecting China's dream in technology". How long US can resist China's development?

I think I am the perfect person to answer this. I have been working in the semiconductor industry for years, currently living in the Netherlands, lived and worked in China for 3 years, I know a lot of engineers from ASML (expecially after they hired hundreds of Turkish engineers). Let me tell you something: High-end semiconductor manufacturing is black magic. Both the processes and tools used for it are very complex. ASML’s EUV lithography machine is probably the most complex tool humankind ever developed since it stopped jumping between trees. It took billions of Euros and decades of experience to perfect it. Other experienced lithography machine suppliers failed at it. China has no experience in high-end semiconductor manufacturing tools with the exception of one-off/few-off prototypes.

ASML’s EUV lithography machine. Needs 41 semi-trucks to get transported, costs $150 million, has 100.000 major parts, has mirrors that need months of grinding to reach needed smoothness, needs multiple people with PhD’s as machine operators. Quite high-tech. Isn’t it?

Unfortunately, ASML is a very convenient target for the USA. The company uses a lot of critical parts from the USA but those parts don’t represent anything significant in the US economy in terms of their monetary value. Chinese electronics industry still depends on foreign chips so it can not threaten fabs with banning the sale of chips in China that were manufactured using ASML tools. Also, China isn’t a big customer of ASML too.

Is China hopeless? No.

1- All of those tools are engineered and made by humans, and the laws of physics are the same both in the Netherlands and China. If the Netherlands could, then there is no reason for anybody else to fail with the correct approach.

2- China is filthy rich compared to the Netherlands. Chinese economy is 17x of the Netherlands’, 9x of SK’s, 27x of Taiwan’s, 3+x of Japan’s. With state support, Chinese fabs and tool makers can hire the top people from the rest of the world with salaries ASML, LamResearch, AM, Synopsys, TSMC, Samsung, … simply can not compete with. A significant portion of these companies’ employees are expats anyway, most of them are just after money. In fact China is already doing this successfully with good results. For example, it already has a working EUV lithography machine prototype, already caught up with the rest in chip testing, packaging, wafer production, also its first immersion lithography machine (good enough for most things) is getting prepared for commercial use.

3- China is a scientific powerhouse on its own. It is the country with most patent applications, most research output, graduates more STEM students than any other country, 2nd largest R&D spender, has 11 universities in top 100. This leads us to my first point. If the Netherlands could, so can China if given enough time.

4- Catching up is much easier than innovating. Knowing something is possible and having a general knowledge of how it works make things much easier.

5- Time is on the Chinese side. Technology of semiconductors is close to maturity/stalling (choose the word depending on your view). If the development slows (which it does) it gives China the opportunity to catch-up. If a tech revolution happens, then the playing field evens out anyway.

6- You don’t need EUV for the most things. You don’t even need high-end processes for the most things. There is more to semiconductors than the latest smartphone processors, GPUs, and CPUs. Look at iPhone 12 teardown videos. You will see a lot of chips. Only one of them needs EUV. An average modern car has 250+ computers inside. That means thousands of chips. All of them are manufactured using old processes. This is even more true for military and space applications. Those use very old chips that are known to be reliable and secure.

Conclusion: Blocking ASML from selling EUV machines to China can hurt Chinese businesses for some time but in the grand scheme it is insignificant. The USA needs to run faster rather than keep trying to block China if it wants to preserve its dominance in tech.

For example, it already has a working EUV lithography machine prototype, already caught up with the rest in chip testing, packaging, wafer production, also its first immersion lithography machine (good enough for most things) is getting prepared for commercial use.

This Dutch ASML Engineer seems to know quite a bit about China EUVL development.
He probably confirms what people already suspect that China has a early model EUV prototype under testing at Changchun Institute and at the Shanghai Synchrotron.
 

localizer

Colonel
Registered Member
For example, it already has a working EUV lithography machine prototype, already caught up with the rest in chip testing, packaging, wafer production, also its first immersion lithography machine (good enough for most things) is getting prepared for commercial use.

This Dutch ASML Engineer seems to know quite a bit about China EUVL development.
He probably confirms what people already suspect that China has a early model EUV prototype under testing at Changchun Institute and at the Shanghai Synchrotron.
The important thing is to get an entire supply chain operational regardless of performance then move on to perfecting the processes.

You learn so much from the 1st time through, the 2nd iteration will turn out much better.



These complex projects require perseverance above all.
 
Status
Not open for further replies.
Top