Chinese semiconductor industry

Status
Not open for further replies.

latenlazy

Brigadier
More confirmation about The light source plus the SSMB research from Tsinghua University's a possible light sources for the EUVL.;)

The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?​

2021-10-30 21:32 HKT


Breakthrough in EUV progress
Manufacturing is the foundation, and chip manufacturing is the top priority in the global semiconductor industry. With TSMC mastering advanced chip manufacturing technology, Samsung is firmly in the leading position, but almost all of the chip equipment produced by the two comes from the Dutch ASML company. Especially for EUV lithography machines, apart from ASML, no second company can provide it.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?

EUV lithography machine is the core equipment for manufacturing high-end chips. For chip process processes of 7nm and below, EUV lithography machines are required to ensure production and guarantee the yield of chips. However, foreign countries are not allowed to export such advanced equipment to mainland China.

The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?

Under the obstacle of the United States, even if ASML wants to do business in the EUV lithography machine market in China, it has more than enough energy, because 90% of the advanced components of EUV lithography machines are imported by ASML from abroad. These technologies are in the hands of foreign countries, including a large number of American technologies, and ASML cannot smoothly ship to specific customer markets.
Therefore, China must develop its own technology to achieve self-sufficiency. Regarding the research and development of EUV lithography machines, the Chinese Academy of Sciences has also broken through EUV technology and has made certain research progress in light source systems. The Chinese Academy of Sciences has publicly announced that it will enter the lithography machine to solve key problems in technical fields such as lithography machines.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?


In addition, Shanghai Microelectronics also has in-depth deployment of 28nm, and there is news from the industry that it will deliver a 28nm lithography machine by the end of 2021. If you add Tsinghua University's SSMB light source research, it can also be applied in the field of high-end EUV lithography machines.
ASML starts to move
From a variety of perspectives, China is fully researching lithography machine technology, whether it is 28nm of mature process or EUV lithography machine of high-end process, it has not given up.
The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?


As long as you persist, you will be able to usher in rewards. Once China becomes self-sufficient in lithography machines, I am afraid it will not need to rely on foreign imports. But in the face of such a large semiconductor market in China, will ASML be willing to lose it? The answer is no.
ASML has been participating in the development of the Chinese market and has established cooperative relationships with many mainland chip manufacturers. Except for the EUV lithography machine, the rest of the equipment can be shipped smoothly to mainland China. Since EUV lithography machines cannot be sold to mainland China, increase shipments of mature technology or mid-end lithography machines.

Among them, ASML urgently reduced the price of the 28nm process lithography machine. The purpose of this is probably to occupy the domestic chip supply chain production line before the domestic lithography machine is mass-produced. On the one hand, ASML lithography machines have better quality assurance, and there will inevitably be a large number of corporate purchases after the price is reduced.

On the other hand, domestic lithography machines can be the first to enter the production line. Will domestic companies choose cheap and stable ASML lithography machines, or will they purchase domestic lithography machines that have just been mass-produced and whose yield is not clear? The answer is clear.


The best moment for China Chip
ASML is playing a big game, trying to seize the Chinese market share with cheaper lithography machines. But ASML may be disappointed. Chinese lithography machines and Chinese chips will be replaced sooner or later, and a comprehensive breakthrough in independent technology will be achieved. A series of good news keep coming. The industry believes that domestic 28nm and 14nm chips will be mass-produced by the end of this year and next year.

The Chinese Academy of Sciences breaks through EUV technology, and the price of ASML lithography machine is urgently reduced. Will China Core welcome a century of change?

The domestic 28nm lithography machine will finally usher in the day of delivery. It can be seen that China Core will usher in a century of change. Under external pressure, China Core has accelerated its growth rate instead.
This may be the best moment for China Chip. Never in history has it made significant progress in many semiconductor fields such as chip talents, equipment, manufacturing processes, and materials at the same time.


ASML said that if the lithography machine is not sold to China, China will break through this technology within three years. This may also be the reason why ASML has to urgently reduce the price of lithography machines, and no longer sell lithography machines to China. With China's breakthroughs in a series of technologies, replacing ASML and achieving self-sufficiency is not unattainable.
to sum up
The lithography machine is known as the crown in the history of human industry. It may not be realistic to achieve the mass production level of ASML's EUV lithography machine in the short term. However, China's lithography opportunities continue to accumulate. The entry of the Chinese Academy of Sciences, the research of Tsinghua University and the complete equipment of Shanghai Microelectronics are all strong back-ups for domestic lithography machines.
China Chip is undergoing a century of change, and the lithography machine will also usher in a huge breakthrough. We look forward to making more progress with the efforts of domestic researchers.
Using SSMB, a piece of technology that is still extremely experimental, for any industrial machine, is pure speculative fantasy. Until you get an actual light output figure and cost of production for that kind of technology you don’t even know if something this untested would qualify as industrially viable. These kinds of articles are like the random internet fluff from 5 years back insisting the J-20 was already flying with the WS-15. People with no expertise to understand what they’re actually reading take a sliver of association and then conjecture their way into a wishful outcome. If you don’t want to be disappointed please be more critical and judicious with what you believe.
 

ansy1968

Brigadier
Registered Member
Using SSMB, a piece of technology that is still extremely experimental, for any industrial machine, is pure speculative fantasy. Until you get an actual light output figure and cost of production for that kind of technology you don’t even know if something this untested would qualify as industrially viable. These kinds of articles are like the random internet fluff from 5 years back insisting the J-20 was already flying with the WS-15. People with no expertise to understand what they’re actually reading take a sliver of association and then conjecture their way into a wishful outcome. If you don’t want to be disappointed please be more critical and judicious with what you believe.
@latenlazy Sir I have and will do, I'm not a technical person but have read the conversation between you and @krautmeister and learn the possibility as a future light source. Thanks for the advise and will look forward for more of your post. ;)
 

Topazchen

Junior Member
Registered Member
Using SSMB, a piece of technology that is still extremely experimental, for any industrial machine, is pure speculative fantasy. Until you get an actual light output figure and cost of production for that kind of technology you don’t even know if something this untested would qualify as industrially viable. These kinds of articles are like the random internet fluff from 5 years back insisting the J-20 was already flying with the WS-15. People with no expertise to understand what they’re actually reading take a sliver of association and then conjecture their way into a wishful outcome. If you don’t want to be disappointed please be more critical and judicious with what you believe.
That's why I said that I'm holding my beer until I see SMEE's 28nm DUV because there's alot of fantasy excitement and "China will do this" rhetoric.
Make no mistake, I'm also guilty of the same and I want China to win because we get access to affordable devices and technology.

China literally made us skip copper and I have faster internet in Nairobi than in Toronto so the stakes are high not just for China but most of the global south too.

Our mobile money that transacts 20 billion dollars a year (used by 90% of the people here and arguably the most successful in the world ) runs on Huawei.
 

latenlazy

Brigadier
To illustrate what I mean when I say SSMB is currently a fantasy solution for EUV lithography, the image below is a proposed test instrument from some of the research done so far. This *proposed* instrument requires a synchrotron ring that’s at least 100 meters in circumference just to generate 1 kw of light output. That comes down to a device with a diameter of at least 30 meters. Even assuming that you can get away with a lower output of photons because presumably this instrument would require fewer mirrors to focus the beam, say something like only 50 kw (I’m making up the number here for the sake of having something to demonstrate the mathematical exercise), you would need a 1500 m x 1500 m area (2.25 km^2!) just to house a collection of synchrotrons needed to generate a sufficient light output, never mind that you would probably need light guides and collectors to take output from multiple instruments to turn into one beam, which would require even greater power output since you’d need to compensate for light loss from the guides and collectors, and which may require more mirrors to focus the light which then also increases your required output, which then increases how many devices you need, and thus how much area this light source takes up. Let’s go with an optimistic assumption that you can stack these synchrotrons to take up less land area and get around some of these problems with light guiding and collection, say an 8x8 array. That’s still going to be 400 m x 400 m of area.

Ask yourself, is this at all scalable and practical for industrial use, especially if you need multiple EUVs per fab line? What would be the cost of needing 50 of these for one lithograph? How much would it cost to have to maintain 50 of these? What do maintenance downtimes look like for 50 of these instruments and would those downtimes be at all practical for a fabrication plant that needs to operate constantly to meet production demands?

Perhaps one day this technology can be made more efficient, shrunk down, made more powerful, etc so that it could be a viable solution for a lithograph, but we are still talking about a proposed instrument, from 2017, which we don’t even know for sure has been built yet. How many more experimental iterations are they going to need before they can even begin discussing practical industrial uses? How many years would it take to go through those experimental iterations? Don’t just believe something is going to happen at any plausible timescale because someone who doesn’t even look into the details say that it *could* happen one day. That’s just not how this stuff actually works.

Link below:
Please, Log in or Register to view URLs content!
 

Attachments

  • 616F8C20-A8A1-4F6E-A434-80C6F5DDFC32.jpeg
    616F8C20-A8A1-4F6E-A434-80C6F5DDFC32.jpeg
    232.5 KB · Views: 15
Last edited:

latenlazy

Brigadier
That's why I said that I'm holding my beer until I see SMEE's 28nm DUV because there's alot of fantasy excitement and "China will do this" rhetoric.
Make no mistake, I'm also guilty of the same and I want China to win because we get access to affordable devices and technology.

China literally made us skip copper and I have faster internet in Nairobi than in Toronto so the stakes are high not just for China but most of the global south too.

Our mobile money that transacts 20 billion dollars a year (used by 90% of the people here and arguably the most successful in the world ) runs on Huawei.
DUV is not a novel untested piece of technology, but something very mature, whose technical parameters are very well known, and for which China already has all the components needed. With DUV the primary challenge is not with optics or light source, since those are standard for non immersion ArF instruments which China already has in production, but mass flow control to ensure that the water layer on top of the wafer, which is used as light focusing mechanism, is handled properly for accurate pattern scanning. Comparing DUV to SSMB is like comparing a WS-10 class engine to a hypersonic combined cycle engine.

I’m actually overall quite bullish on China’s ability to assemble a production viable EUV lithograph relatively quickly (my personal estimate is around or before 2025), but specifically it will be through light source generation mechanisms that are already well understood and much more mature, which China already has had a backlog of working prototypes for that were tailor made for potential production applications. SSMB is not one of those technologies, and the constant reference to it as some kind of nebulously defined magical key amounts to little more than believing in science fiction as a way to rescue oneself from reality.
 

horse

Major
Registered Member
I think a 5nm full domestic chip design fabricated with a full domestic fabrication pipeline would be the ultimate cherry on top of the Chinese government planned Made in China 2025 program. The ultimate "fuck you" toward the US, but time will tell if Chinese government can succeed in this goal.;)

I don't think it matters that much, the most advanced nodes at 5nm.

More than 90% of all semiconductor chips used in products are at the mature nodes of 14nm or above. SMIC is already at 7nm.

Almost all of the 5nm will go into cell phones, which the majority of cell phones manufacturers are Chinese companies. The majority of that 5nm chip production in the world must be sold to China, otherwise there is no market, and no commercial incentive to make that 5nm chip, which means no more progress.

China Inc. is trying to have the best of both worlds, of older nodes and most advanced nodes.

China Inc. will try to monopolize the older nodes inside China. That they will certainly do.

China Inc. will still have access to the most advanced nodes, and they will not do any R&D to get there. That R&D is massive. Trailing one generation behind is the best policy at this stage of the game. When the time comes, then maybe domesticate the most advanced nodes. At that point, China Inc. should be willing to wipe out everyone else.

Eventually, the most advanced nodes, become less advanced because more advanced node appear. Therefore the most advanced nodes get designed into more and more products. But we do not know how many years that will be. 2, 5, 10 or never? Does your pop-up toaster needs a 1nm chip for IoT? Probably not.

At this point, I do not think victory is assured for China, but defeat is assured for the United States, if trying to stop China or even slow China is the goal. None of that has happened.

In this tech war, the only real outcome so far, is that that the United States prevent Huawei from selling some cell phones. Since those cell sales not sold by Huawei, was sold by other Chinese companies, clearly Huawei lost some revenue but overall China Inc. did not slow down one bit.

Nothing left but endless propaganda from Western media to convince the masses that the tech war is not going the way of Vietnam or Afghanistan.

:D
 

antiterror13

Brigadier
I think a 5nm full domestic chip design fabricated with a full domestic fabrication pipeline would be the ultimate cherry on top of the Chinese government planned Made in China 2025 program. The ultimate "fuck you" toward the US, but time will tell if Chinese government can succeed in this goal.;)

well, anything could happen in 4 yrs .. but I can tell you that the chance of the success (EUV) in 2025 is quite high in my opinion
 

antiterror13

Brigadier
@BlackWindMnt bro I think we need to ask @WTAN a technical question, Sir regarding this " Shanghai Microelectronics is developing an ArF immersion lithography machine, which can cover 45-22nm". Is this a new machine or an improvement on SMEE 28NM DUVL? 22nm with double patterning it can do 10nm Chips and multiple patterning a 5nm? and if does a comparable machine to the incoming Nikon DUVL and ASML NXT 2050i?

Well, Nikon hasn't managed it yet, and I am not sure who would buy from them. Chinese top DUV obviously has a big market within China. ASML is already nervous by cutting the price of DUV to China. My prediction is in 2022, China will be able to buy the top ASML NXT 2050i. But I hope, Chinese companies (SMIC, etc) only buy a few and concentrate buying from SMEE
 
Status
Not open for further replies.
Top