Chinese semiconductor industry

Status
Not open for further replies.

Orthan

Senior Member
China should no longer sit back and watch their companies get banned on flimsy grounds. Swedish companies like Ikea, H&M etc make lot of money in China , its time they get kicked out. Chinas meek response to Huawei getting banned is encouraging other countries to follow suit.

You must understand that countries have a legitimate concern to protect their national communications. Also, with the uncertainty surrounding huawei´s acess to high-level chips, its no surprise that these days few if any 5G customer would want to touch them.
 

ansy1968

Brigadier
Registered Member
Old post from cnTechPost

IF SMEE can develop a 28nm DUVL, then 65nm and 45nm is within its competency

First China-made 28nm lithography machine expected to be delivered in 2021-2022
2020-06-05 23:25:47 GMT+8 | cnTechPost
Please, Log in or Register to view URLs content!
9

First China-made 28nm lithography machine expected to be delivered in 2021-2022-cnTechPost

According to
Please, Log in or Register to view URLs content!
, the Shanghai Micro Electronics Equipment (Group) Co. (SMEE) announced that, building on the previous 90nm the first China-made 28nm immersion type lithography machine will be delivered in 2021-2022.
Although it still has a big gap with the Dutch 7nm chip preparation process, it also marks a leap forward in China-made lithography, which is gradually reducing the gap with ASML.

The Chinese market accounts for one-third of global semiconductor sales, and there is an urgent need for semiconductor import substitution.
First China-made 28nm lithography machine expected to be delivered in 2021-2022-cnTechPost

According to Guotai Junan Securities, the SMEE is the most technologically advanced lithography equipment in China manufacturer. At present, the company's IC front-end lithography machine level is significantly different from ASML, but it continues to achieve milestones and has already achieved production capacity of 90nm process.
The company has achieved entry into the market from the low-end, with a high domestic market share in the market segment.
The company has advanced package lithography technology and has become an important supplier to leading packaging and testing companies, with a domestic market share of 80% and a global market share of 40%.

The company LED/MEMS/power device lithography machine performance indicators leading, LED lithography machine market share first.
First China-made 28nm lithography machine expected to be delivered in 2021-2022-cnTechPost

At present, the company has 4 series of lithography products, the 600 series of lithography machine, has been able to meet the 90nm chip production, can be used for 8-inch line or 12-inch line of large-scale industrial production.
The ASML is the world's only high-end lithography manufacturers, only about 20 units of high-end equipment per year, each one was TSMC and Samsung and other large chip foundries snapped up.
The company has recently launched a new semiconductor technology first-generation HMI multi-beam inspection machine, which can be used for 5nm and more advanced processes, is expected to make 5nm chip production capacity soared 600%.
 

Hendrik_2000

Lieutenant General
You must understand that countries have a legitimate concern to protect their national communications. Also, with the uncertainty surrounding huawei´s acess to high-level chips, its no surprise that these days few if any 5G customer would want to touch them.


There is no proof whatsoever that Huawei has backdoor or somehow endanger the security of other nation to the contrary Huawei has tamper proof system denying you know who to eavesdrop on communication
 

TD739

Junior Member
Registered Member
I hate to keep reposting the old article GOBACK in this thread and you see it before you open your mouth please do a bit research
Please, Log in or Register to view URLs content!

China-made high energy ion implantation machine makes major breakthrough
2020-06-30 21:09:17 GMT+8 | cnTechPost
Please, Log in or Register to view URLs content!
5

China Electronics Technology Group Corporation (CETC) announced that the high energy ion implantation machine developed by CETC Equipment, a subsidiary of the group, has successfully achieved high energy ion acceleration of one million electron volts, allowing the performance to reach a level comparable to its international counterparts.
China-made high energy ion implantation machine makes major breakthrough-cnTechPost

In total, there are seven key processes in wafer fabrication, namely, Thermal Process, Photo- lithography, Etch, Ion Implant, Dielectric Deposition, Polishing (CMP) and Metalization.

Metalization, that is, the various components of the integrated circuit with a metal conductor to connect, the equipment used is also thin film growth equipment.
Almost every step of the process requires the use of cleaning machines, because the production process is becoming more and more complex, almost every one or two steps to clean the silicon wafer.
Therefore, wafer fabrication requires seven categories of production equipment, including: diffusion furnace, photolithography, etching machine, ion implantation machine, thin film deposition equipment, chemical mechanical polishing machine, cleaning machine.
Among them, the ion injection machine is the key equipment in chip manufacturing.
Read my post above. It's very new, probably none or few purchase one, it will take time to implement that into the industry.
 

Nobonita Barua

Senior Member
Registered Member
You must understand that countries have a legitimate concern to protect their national communications. Also, with the uncertainty surrounding huawei´s acess to high-level chips, its no surprise that these days few if any 5G customer would want to touch them.
Indeed indeed.

It's simply that i don't feel comfortable with you people lurking into our country's financial records poking around in SWIFT to secure your azzes.

Now that makes me a little "legitimately concerned" too.
 
Last edited:

TD739

Junior Member
Registered Member
Old post from cnTechPost

IF SMEE can develop a 28nm DUVL, then 65nm and 45nm is within its competency

First China-made 28nm lithography machine expected to be delivered in 2021-2022
2020-06-05 23:25:47 GMT+8 | cnTechPost
Please, Log in or Register to view URLs content!
9

First China-made 28nm lithography machine expected to be delivered in 2021-2022-cnTechPost

According to
Please, Log in or Register to view URLs content!
, the Shanghai Micro Electronics Equipment (Group) Co. (SMEE) announced that, building on the previous 90nm the first China-made 28nm immersion type lithography machine will be delivered in 2021-2022.
Although it still has a big gap with the Dutch 7nm chip preparation process, it also marks a leap forward in China-made lithography, which is gradually reducing the gap with ASML.

The Chinese market accounts for one-third of global semiconductor sales, and there is an urgent need for semiconductor import substitution.
First China-made 28nm lithography machine expected to be delivered in 2021-2022-cnTechPost

According to Guotai Junan Securities, the SMEE is the most technologically advanced lithography equipment in China manufacturer. At present, the company's IC front-end lithography machine level is significantly different from ASML, but it continues to achieve milestones and has already achieved production capacity of 90nm process.
The company has achieved entry into the market from the low-end, with a high domestic market share in the market segment.
The company has advanced package lithography technology and has become an important supplier to leading packaging and testing companies, with a domestic market share of 80% and a global market share of 40%.

The company LED/MEMS/power device lithography machine performance indicators leading, LED lithography machine market share first.
First China-made 28nm lithography machine expected to be delivered in 2021-2022-cnTechPost

At present, the company has 4 series of lithography products, the 600 series of lithography machine, has been able to meet the 90nm chip production, can be used for 8-inch line or 12-inch line of large-scale industrial production.
The ASML is the world's only high-end lithography manufacturers, only about 20 units of high-end equipment per year, each one was TSMC and Samsung and other large chip foundries snapped up.
The company has recently launched a new semiconductor technology first-generation HMI multi-beam inspection machine, which can be used for 5nm and more advanced processes, is expected to make 5nm chip production capacity soared 600%.
ASML aware of that. It has deep price cut on its DUV equipment and said it doesn't need US license and Chinese vendors can use it safely. It fanatically setup support centers throughout China first and second cities. It trying preempt SMEE by saturation tactic.

SMEE maybe still need 1 more year for its initial product whereas ASML has fully mature product at similar or even lower price. It gonna uphill battle for SMEE unless got explicit support from government and domestic players
 
Last edited:

manqiangrexue

Brigadier
Look at what Chinese government has done in this trade war. Not much besides the usual more investment and entity list which still has not announce any one yet at this stage despite Chinese firms being slaughtered. Chinese government still acting timid and shy.
Changing accounts to say the same things won't make them true, Bo. Shouting matches and one-ups don't determine the victor; results determine the victor and never has Chinese tech been as close a peer to American tech nor has it been moving as fast as it is today. Xi JinPing could not spur Chinese tech innovation alone; he warned on the need for self-reliance and he invested money but Chinese firms took that money and did little to no work, in the worst case using it to buy foreign tech and scrubbing off the logos to pass of as domestic ones. Trump's tech war is what it took to finally move them off their lazy greedy corporate behinds and to start really investing in the technology that China lacks. While this tech war may be a death sentence for smaller countries, it's more of an opportunity than an attack for China because this is what it takes to awaken Chinese innovation, the largest innovative force in the world. You have a man with his head buried in his work to overcome all of his own weaknesses confused with someone who is timid and shy just because he's not running his mouth and trying to attack others. Investment and growth in China is what the US is trying to stop, so the best response to American actions is to find which technological areas America fears most and develop them. America already knows that it can't out-grow China so its last resort is sabotage, which is always an uphill battle. China doesn't need to waste energy on its own uphill battle; it can arrange all of its strength around its own growth. And the result of that is that Chinese firms are developing their own technology where they used to rely on foreign/American providers. If you think that vying for self-sufficiency at the cost of bleeding some profits is being slaughtered, then you are for more capitalistic and short-sighted in your thinking than anyone you can accuse.
It can help Huawei to acquire SMIC , I think its best move from the mandate of govenment. Also consolidate the whole tsmc Nanjing fab into this.
Well, that depends. How important is SMIC's technology? Perhaps as long as SMEE can provide lithographs, Huawei can easily do what SMIC does. There are reports of Huawei taking SMIC's engineers; if Huawei wanted to rely on SMIC, that would sound self-defeating.
It has not prepared for tech war and now still doesn't have corrective counter moves.
It's not black and white whether someone is prepared for a tech war. If China was completely prepared, they would announce 5nm chips from a secret domestic production line ready for large-scale distribution the day TSMC was banned but that's a perfect world and a Godly level of preparation. On the other hand, if China was unprepared, there could be no self-made attempts at lithography so soon. No country in the world, including the US, has a complete lithography line and could breeze through this ban. It would crush every other country/company in Japan, Korea, ROC hands down. They would have no recourse but to ask America what it wanted and pay whatever price to end these hostilities. Only China can stand unbowed and move forward. So compared to other countries, where China is now and how fast it's moving forward, is quite admirable.
 
Last edited:

ansy1968

Brigadier
Registered Member
Read my post above. It's very new, probably none or few purchase one, it will take time to implement that into the industry.
Hi TD739,

From WTAN previous post, please read the attach article, its very revealing and we can have a discussion.
Vichysoy said:
i think many people are waiting this break new !
Please, Log in or Register to view URLs content!
I believe this is official media confirmation of the existence of the "02 Special Project".
02 Special Project is State project under 5 year plan 2016-2020 to create an advanced DUV Lithography machine by SMEE.
This new machine by SMEE model number is SSA800 and will be capable of making Chips in the 7nm node.
Note that Jiangsu Nata is also known as Nanda in the article by A-Set about 193nm Photoresist.

Article also says EUV machine will be available within 2 years. Maybe 2021 launch?
https://www.sinodefenceforum.com/attachments/image1-png.59648/
 

TD739

Junior Member
Registered Member
Hi TD739,

From WTAN previous post, please read the attach article, its very revealing and we can have a discussion.

I believe this is official media confirmation of the existence of the "02 Special Project".
02 Special Project is State project under 5 year plan 2016-2020 to create an advanced DUV Lithography machine by SMEE.
This new machine by SMEE model number is SSA800 and will be capable of making Chips in the 7nm node.
Note that Jiangsu Nata is also known as Nanda in the article by A-Set about 193nm Photoresist.

Article also says EUV machine will be available within 2 years. Maybe 2021 launch?
https://www.sinodefenceforum.com/attachments/image1-png.59648/
What if ASML offer similar machine at much lower price?

I heard there lacking of high power 30kw or above CO2 laser needed for EUV in China unless you want to buy from Japan. Until that's settled not sure time table means anything
 

manqiangrexue

Brigadier
What if ASML offer similar machine at much lower price?
They can be purchased for study and dissection or short-term use until SMEE's lithographs are ready but never as a true alternate to domestic machines. The Chinese government should require a license to import this and make it clear to all who wish to apply. If ASML is allowed to take market share from SMEE in China, it would be a blunder of the lowest IQ levels.
 
Last edited:
Status
Not open for further replies.
Top