Chinese semiconductor industry

Status
Not open for further replies.

ansy1968

Brigadier
Registered Member

It is estimated that Huawei, Ericsson and Nokia will account for 74.5% of the global base station share in 2022​


View attachment 94805

Please, Log in or Register to view URLs content!
@tokenanalyst bro we can say that Huawei ban is effective as Ericsson and Nokia gain sales, IF you combine the three with Samsung they had taken at least 60% of the world market. I'm not worry though, Huawei had graduated from selling gears to actual application, while the three are still preparing for their Thesis...lol
 
Last edited:

Weaasel

Senior Member
Registered Member
That's one possibility. The other possibility is that SMIC will come under discipline investigation for being a monopoly, for violating cybersecurity, for violations of discipline, for corruption, for disorderly expansion of capital, for being insufficiently communist, etc. and will be fined massively, senior officers forced out, funders will take a bath and lose everything, it will have shipments suspended, and it will not be able to get licenses to sell anything. Its stock will tank, his revenues will shrink, it will be hit with massive layoffs, reductions in already small R&D budget, and it will fall further behind.

Meanwhile Intel gets the full support of the US government and even though it is incompetent and would have gone bankrupt by market rules, it is forced to succeed and eventually it does.
That possibility, with regards to what you say might happen to SMIC, is much less of a probability than the one that you actually responded to, which is actually a fact.
 

Weaasel

Senior Member
Registered Member
QUESTIONS

TSMC and Samsung first made 10 nm and 7 nm chips using imported DUV equipment from ASML and/or Canon?

Is SMIC in possession of the same DUV equipment as TSMC and Samsung?

If TSMC and Samsung produced 7 nm chips using dry DUV and/or ArF i DUV, even though their yields are much less than EUV, why do many people think that it is beyond the realms of possiblity for SMIC to do the same? Is it because Mainland Chinese are too Communist and state oriented, because it surely can't be because SMIC is Chinese or Asian, because what then are TSMC and Samsung ? Being too Communist and State oriented for the last 44 years has made China a firm middle income country and much more than that a comprehensively modern industrialized country and a technological leader in many aspects of modern technology.

My whole point is that it find it incredible that there is so much scepticism that SMIC or any mainland Chinese company can actually produce 7 nm chips with any DUV equipment in their possession when others have done so, as though Chinese people and Chinese entities are either too stupid or just too disorganized and non-purposeful to do it... I even go on to say that even though lower yielding, the 7 nm chips can probably be produced in sufficient quantities, even if subsidized for telecommunications base station equipment and for the military within China alone... The Chinese state can definitely afford it...
 

ansy1968

Brigadier
Registered Member
QUESTIONS

TSMC and Samsung first made 10 nm and 7 nm chips using imported DUV equipment from ASML and/or Canon?
@Weaasel bro TSMC had done it using an earlier DUVL machine ASML NXT 1980i while Samsung had done it using an EUVL.

Please, Log in or Register to view URLs content!

Please, Log in or Register to view URLs content!





May 23, 2018 — Samsung will produce 7nm chips in the second half of the year, the company announced. Samsung's Exynos chips and Qualcomm's Snapdragon 855 ...




Is SMIC in possession of the same DUV equipment as TSMC and Samsung?
Yes and from @foofy the latest DUVL, NXT 2050i.
If TSMC and Samsung produced 7 nm chips using dry DUV and/or ArF i DUV, even though their yields are much less than EUV, why do many people think that it is beyond the realms of possiblity for SMIC to do the same? Is it because Mainland Chinese are too Communist and state oriented, because it surely can't be because SMIC is Chinese or Asian, because what then are TSMC and Samsung ? Being too Communist and State oriented for the last 44 years has made China a firm middle income country and much more than that a comprehensively modern industrialized country and a technological leader in many aspects of modern technology.
Cause SMIC with restriction had done it while Intel with all the support hadn't.
My whole point is that it find it incredible that there is so much scepticism that SMIC or any mainland Chinese company can actually produce 7 nm chips with any DUV equipment in their possession when others have done so, as though Chinese people and Chinese entities are either too stupid or just too disorganized and non-purposeful to do it... I even go on to say that even though lower yielding, the 7 nm chips can probably be produced in sufficient quantities, even if subsidized for telecommunications base station equipment and for the military within China alone... The Chinese state can definitely afford it...
So true bro so true, I think you just stated it beautifully what we all feel.
 

tokenanalyst

Brigadier
Registered Member

Ceramics PCBs for extreme environments.

Xinnuo Technology launches double-sided lithography automation equipment for ceramic circuit board processing​


On July 29, based on cutting-edge, innovation and specialization, Xinnuo Technology first released the double-sided lithography automation equipment suitable for multi-imposed ceramic plate processing - Zhenguan 200. The double-sided exposure technology adopted by this equipment is the first in the world and the first in the industry in the field of ceramic plate processing.

The world's first!  Xinnuo Technology pushes new research and development achievements

Ceramic PCB is a combination of copper foil and ceramic substrate by bonding in a high temperature environment, and the bonding force is strong, the copper foil will not fall off, the reliability is high, and the performance is stable in the environment of high temperature and high humidity. ; At present, the market demand in this field is accelerating the release, mainly used in solar panel components, high-frequency switching power supplies, automotive electronics, aerospace, military electronic products, high-power LED lighting products , etc.

The equipment was developed based on the PCT patent for double-sided maskless lithography exclusively owned by Sinotech , and has been shipped to world-renowned customers in early July. The equipment adopts double-side double-side exposure. After the positioning is completed, the substrate can be lithography on both sides at the same time, the production capacity is doubled, and the interlayer accuracy is less than or equal to 12μm, leading the world. Xinnuo Technology fulfills its mission, contributes to the independent and controllable cause of domestic key equipment, and makes its due contribution.
The world's first!  Xinnuo Technology pushes new research and development achievements

In the future, Xinnuo will continue to work hard and play a role as a screw for the development of hard technology in China. On the basis of a deep grasp of the development law of breakthrough innovation technology, it will effectively explore and practice the new field of maskless lithography, and continue to launch products with technical depth and breadth. Iterative equipment.
 

tokenanalyst

Brigadier
Registered Member

Domestic thin-film deposition equipment is gradually increasing in volume, and manufacturers such as Tuojing Technology and North Huachuang will usher in a period of rapid growth​


According to the data disclosed by SEMI, global semiconductor manufacturing equipment sales will surge in 2021, an increase of 44% compared with 71.2 billion US dollars in 2020, reaching a record high of 102.6 billion US dollars. For the second time, China has become semiconductor equipment. largest market, with sales up 58 percent to $29.6 billion. According to SEMI's "Mid-Year Total Semiconductor Equipment Forecast Report" released at SEMICON West 2022 Hybrid, it is predicted that the total global sales of semiconductor manufacturing equipment by OEMs will reach a record $117.5 billion in 2022. Semiconductor equipment, as a powerful tool for wafer manufacturing, is in the "golden age" of rapid development, ushering in a huge market space.

The domestic substitution space is large, and the self-sufficiency rate needs to be improved urgently

In the semiconductor industry, wafer manufacturing mainly includes processes such as thin film deposition, lithography, etching, ion implantation, and cleaning. Among them, thin film deposition, lithography, and etching are known as the "Three Musketeers of Semiconductor Equipment". According to Gartner statistics, in 2021, global thin film deposition, lithography and etching will account for about 19.19%, 18.52% and 21.59% of the value of wafer manufacturing equipment, respectively. Thin film deposition equipment has become one of the core equipment of the semiconductor manufacturing process.

According to different working principles, thin film deposition equipment is divided into physical vapor deposition (PVD), chemical vapor deposition (CVD) and epitaxy, etc., and more advanced atomic layer deposition (ALD) equipment is required below the 45nm process. At present, the global thin film deposition market is occupied by international giants such as Applied Materials (AMAT), Lam Semiconductor (Lam), Tokyo Electron (TEL), and Advanced Semiconductor (ASMI), showing an oligarchic pattern of foreign suppliers.

Gartner data shows that in 2020, the market shares of Applied Materials, Fanlin Semiconductor, and Tokyo Electronics in the global deposition equipment market will reach 43%, 19%, and 11%, respectively. Applied Materials PVD equipment has an exclusive market share of 85%, and it also has a nearly 49% share in plasma CVD; Lam Semiconductor has a comprehensive layout of CVD and post-deposition processing processes, and is the only one in ECD equipment; Tokyo Electron has a tubular CVD equipment. The market share is 46%; Pioneer Semiconductor has a strong technical reserve in ALD suitable for advanced processes, and has a market share of 46% in the corresponding market segment.

According to statistics from the China Electronics Special Equipment Industry Association, the sales of domestic semiconductor equipment in 2020 will be about 21.3 billion yuan, and the self-sufficiency rate will be about 17.5%; if only integrated circuit equipment is considered, the domestic self-sufficiency rate is only about 5%, and only about 5% in the global market. Accounting for 1-2%, the self-sufficiency rate of integrated circuit front-end equipment with the highest technical content is even lower.

Despite this, domestic thin film deposition equipment manufacturers represented by Tuojing Technology, North Huachuang, China Micro Semiconductor, Microconductive Nano and other companies still show strong development resilience and rapid market growth. After years of technology accumulation and scale effect, they have made breakthroughs in different fields and have gradually been recognized by domestic and foreign customers.

The differentiated layout of domestic manufacturers accelerates the introduction of wafer production lines

In the field of thin film deposition, Tuojing Technology's PECVD equipment has obvious advantages and is currently the only integrated circuit PECVD equipment manufacturer in China; North Huachuang is a leading PVD equipment company. Although it also has PECVD products, it is currently mainly used in photovoltaics and LEDs. , power semiconductor and other fields; Zhongwei Semiconductor focuses on MOCVD. The three manufacturers show a trend of differentiated layout, and there is no direct competition between them for the time being.

Tuojing Technology takes PECVD equipment as the core and accelerates the development of ALD and SACVD equipment. Customers cover SMIC, Hua Hong Group, Changxin Storage, Yandong Microelectronics and other domestic mainstream fabs. At the same time, Tuojing Technology has established a business relationship with an international leading fab, and shipped two sets of equipment to the customer's advanced process R&D production line, laying the foundation for opening up the international market.

In terms of PECVD, Tuojing Technology's PECVD equipment products have been adapted to domestic advanced 28/14nm logic chips, 19/17nm DRAM chips and 64/128-layer 3D NAND FLASH wafer manufacturing lines; in terms of ALD, the PEALD equipment it developed can cover Logic chip 55-14nm SADP, STI process and storage fields have achieved industrial application; SACVD can cover 12-inch 40/28nm and 8-inch 90nm and above logic chip manufacturing process requirements.

NAURA 's thin-film deposition equipment includes PVD, CVD and ALD equipment, and its 14nm thin-film deposition equipment has passed multi-channel process verification at the client and achieved mass production applications. In terms of PVD, NAURA has successively developed series of PVD products such as Polaris B and Polaris 200 in the fields of integrated circuits and advanced packaging, and successfully industrialized them.

Zhongwei Semiconductor mainly focuses on MOCVD equipment, which is widely used in lighting and display markets. In June 2021, China Micro Semiconductor released the Prismo UniMax MOCVD equipment for Mini LED mass production, which can process 164 4-inch or 72 6-inch epitaxial wafers at the same time, and has received more than 100 batch orders from many domestic customers. cavity.

In the third-generation semiconductor equipment market for power device applications, China Micro Semiconductor is also involved. At present, it has developed MOCVD equipment for mass production of GaN power devices, which has been delivered to domestic and foreign customers for production verification.

With the respective breakthroughs in technology, domestic equipment manufacturers are accelerating the introduction of wafer production lines. Recently, there have been news that domestic equipment manufacturers have won bids for mainstream wafer production lines such as Shanghai Jita, Hua Hong Wuxi, and Yandong Microelectronics, which also means that the market demand for domestic equipment is increasing.

According to statistics from the bidding platform, in the second quarter of this year, domestic and foreign equipment manufacturers won a total of 28 thin film deposition equipment, of which domestic equipment manufacturers Tuojing Technology and North Huachuang won a total of 13 bids, and foreign equipment manufacturers Fanlin Semiconductor and Application A total of 15 sets of materials have been won, and the number of domestic equipment is close to that of foreign equipment. Domestic semiconductor equipment is gradually gaining market recognition.

Please, Log in or Register to view URLs content!
 

tokenanalyst

Brigadier
Registered Member

VeriSilicon: It is planning to carry out the vehicle certification of its own semiconductor IP​



On August 3, VeriSilicon stated in the investor relations activity record sheet that at present, smart cars are one of the company's important strategic development directions, and the company is planning to conduct vehicle regulations for its own semiconductor IP. level certification.

In November last year, VeriSilicon's Image Signal Processor IP (ISPIP) has been certified by the automotive functional safety standard ISO 26262. In June this year, this IP has obtained IEC 61508:2011 SIL 2 industrial functional safety certification, becoming the The original IP that has passed the dual certification of international industrial and automotive functional safety standards can better meet the needs of customers in the fields of automobiles, intelligent manufacturing and industrial equipment. In the future, the company's other rich processor IP will also pass the functional safety certification process one after another to help the upgrade and development of the automotive and intelligent manufacturing fields.

In addition, the company's chip design process has also obtained ISO 26262 automotive functional safety management system certification. Obtaining this certification indicates that VeriSilicon can follow the functional safety design process of automotive chips, from the design and implementation of chips and IP, and software development. , to provide global customers with one-stop customized services for automotive chips that meet functional safety requirements. In the future, VeriSilicon will continue to develop in the field of smart cars and provide more safe, reliable, innovative and advanced technologies for automotive electronic products.

Chiplet is one of the important development trends in the semiconductor industry. VeriSilicon has been committed to the advancement of Chiplet technology and industry in recent years. To realize the industrialization of Chiplet. As the No. 1 semiconductor IP supplier in mainland China and the top seven in the world, VeriSilicon has a wealth of processor IP cores and leading chip design capabilities. In addition, we have established relationships with mainstream packaging and testing manufacturers and chip manufacturers around the world. A long-term relationship, so it is very suitable for launching a Chiplet business.

The UCIe interconnection standard launched this year has an important role in promoting the development of Chiplet, and VeriSilicon has become one of the first companies in mainland China to join the UCIe Alliance. With the gradual unification of Chiplet interfaces in the industry and the gradual maturity of packaging technology, we will continue to promote the development of Chiplet technology. From 2022 to 2023, we will continue to promote the iterative research and development of Chiplet solutions for high-end application processor platforms, and through customer Cooperation projects, industrial investment, etc., continue to promote the industrialization of Chiplet in the fields of tablet computers, autonomous driving, data centers, etc. Chiplet may be the first batch of companies in the world to launch Chiplet commercial products for customers.

The company's tape-out success rate is relatively high, and most chip design projects can achieve a successful tape-out, which is due to the company's excellent chip design capabilities and rich experience in chip design. Through years of technology accumulation, the company has advanced one-stop chip customization technology from hardware to software, as well as a wealth of semiconductor IP reserves, which can help customers complete chip design with high efficiency, high quality, low cost and low risk. and mass production shipments.

Please, Log in or Register to view URLs content!
 
Status
Not open for further replies.
Top